Skip to content

Commit e4d888b

Browse files
Remove unnecessary blank space
1 parent 56d479b commit e4d888b

File tree

16 files changed

+171
-189
lines changed

16 files changed

+171
-189
lines changed

README.rst

Lines changed: 2 additions & 4 deletions
Original file line numberDiff line numberDiff line change
@@ -21,7 +21,7 @@ Symbolator is a component diagramming tool for VHDL and Verilog. It will parse H
2121
--# {{clocks|}}
2222
Clock : in std_ulogic;
2323
Reset : in std_ulogic;
24-
24+
2525
--# {{control|Named section}}
2626
Enable : in std_ulogic;
2727
Data_in : in std_ulogic_vector(SIZE-1 downto 0);
@@ -39,7 +39,7 @@ Symbolator is a component diagramming tool for VHDL and Verilog. It will parse H
3939
-> demo_device-demo_device.svg
4040
4141
Produces the following:
42-
42+
4343
.. image:: http://kevinpt.github.io/symbolator/_images/demo_device-demo_device.svg
4444

4545

@@ -72,5 +72,3 @@ Documentation
7272

7373
The full documentation is available online at the `main Symbolator site
7474
<http://kevinpt.github.io/symbolator/>`_.
75-
76-

doc/Makefile

Lines changed: 1 addition & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -17,4 +17,4 @@ help:
1717
# Catch-all target: route all unknown targets to Sphinx using the new
1818
# "make mode" option. $(O) is meant as a shortcut for $(SPHINXOPTS).
1919
%: Makefile
20-
@$(SPHINXBUILD) -M $@ "$(SOURCEDIR)" "$(BUILDDIR)" $(SPHINXOPTS) $(O)
20+
@$(SPHINXBUILD) -M $@ "$(SOURCEDIR)" "$(BUILDDIR)" $(SPHINXOPTS) $(O)

doc/_templates/layout.html

Lines changed: 0 additions & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -4,4 +4,3 @@
44
{{ super() }}
55

66
{% endblock %}
7-

doc/_templates/page.html

Lines changed: 0 additions & 2 deletions
Original file line numberDiff line numberDiff line change
@@ -1,5 +1,3 @@
11
{% extends "!page.html" %}
22

33
{% set css_files = css_files + ["_static/project.css"] %}
4-
5-

doc/_templates/projects.html

Lines changed: 5 additions & 5 deletions
Original file line numberDiff line numberDiff line change
@@ -37,7 +37,7 @@ <h3>Other projects</h3>
3737
function insert_projects(projects) {
3838
var links = [];
3939
var cur_proj = "{{ project }}".toLowerCase();
40-
40+
4141
$.each(projects, function(key, value) {
4242
if(key != cur_proj) {
4343
var title;
@@ -49,7 +49,7 @@ <h3>Other projects</h3>
4949
links.push("<a href='"+ value +"'>" + title + "</a>");
5050
}
5151
});
52-
52+
5353
$("#proj_list").html("<p>"+ links.join("<br>") +"</p>");
5454
}
5555

@@ -63,14 +63,14 @@ <h3>Other projects</h3>
6363
if(!value.fork)
6464
projects[value.name] = value.homepage;
6565
});
66-
66+
6767
insert_projects(projects);
68-
68+
6969
// Store data in session cache
7070
sessionStorage.KTprojects = JSON.stringify(projects);
7171
var now = new Date().getTime();
7272
sessionStorage.KTcacheTime = now;
73-
});
73+
});
7474
}
7575

7676
});

doc/conf.py

Lines changed: 1 addition & 4 deletions
Original file line numberDiff line numberDiff line change
@@ -122,7 +122,7 @@ def get_package_version(verfile):
122122
'projects.html',
123123
'searchbox.html'
124124
],
125-
125+
126126
'index': [
127127
'about.html',
128128
'download.html',
@@ -192,6 +192,3 @@ def get_package_version(verfile):
192192
author, 'Symbolator', 'One line description of project.',
193193
'Miscellaneous'),
194194
]
195-
196-
197-

doc/index.rst

Lines changed: 29 additions & 30 deletions
Original file line numberDiff line numberDiff line change
@@ -20,7 +20,7 @@ Symbolator is a component diagramming tool for VHDL and Verilog. It will parse H
2020
--# {{clocks|}}
2121
Clock : in std_ulogic;
2222
Reset : in std_ulogic;
23-
23+
2424
--# {{control|Named section}}
2525
Enable : in std_ulogic;
2626
Data_in : in std_ulogic_vector(SIZE-1 downto 0);
@@ -38,7 +38,7 @@ Symbolator is a component diagramming tool for VHDL and Verilog. It will parse H
3838
-> demo_device-demo_device.svg
3939
4040
Produces the following:
41-
41+
4242
.. figure:: images/demo_device-demo_device.svg
4343
:align: center
4444

@@ -172,21 +172,21 @@ The VHDL parser will only extract component declarations inside a package. Entit
172172
173173
module vlog_params
174174
(foo, bar);
175-
175+
176176
parameter PARAM1 = 1, PARAM2 = 2;
177-
177+
178178
input wire foo;
179179
output reg bar;
180180
endmodule;
181181
182182
.. symbolator::
183183
:name: param-example
184-
184+
185185
module vlog_params
186186
(foo, bar);
187-
187+
188188
parameter PARAM1 = 1, PARAM2 = 2;
189-
189+
190190
input wire foo;
191191
output reg bar;
192192
endmodule;
@@ -199,11 +199,11 @@ Symbol pins can have edge sensitivity triangles and inversion bubbles. They are
199199
Clocks
200200

201201
"clock" or "clk" at the beginning or end of the name (``(^cl(oc)?k)|(cl(oc)?k$)``)
202-
202+
203203
Inversion (active low)
204204

205205
"_n" or "_b" at the end of the name (``_[nb]$``)
206-
206+
207207
Bidirectional pins are rendered with double arrows. Inputs are always on the left. Outputs and bidirectional pins are on the right. Pins are kept in the same order they appear in each section.
208208

209209
.. code-block:: vhdl
@@ -252,7 +252,7 @@ Pins with VHDL array types will be rendered as a bus. If the range is explicitly
252252
253253
.. symbolator::
254254
:name: bus-detect
255-
255+
256256
subtype word is unsigned(7 downto 0);
257257

258258
component busses is
@@ -278,7 +278,7 @@ You can save scanned array definitions to a cached file with the ``-s`` option.
278278
> symbolator -L my/vhdl/library -L . -s libs.txt
279279
> symbolator -L libs.txt -i source/path
280280
281-
281+
282282
Symbol sections
283283
~~~~~~~~~~~~~~~
284284

@@ -288,16 +288,16 @@ Each symbol can be split into sections with an optional name and styling class.
288288
289289
-- Empty section:
290290
--# {{}}
291-
291+
292292
-- Styled section:
293293
--# {{clocks|}}
294-
294+
295295
-- Named section:
296296
--# {{Arbitrary name}}
297-
297+
298298
-- Styled and named:
299299
--# {{data|Input port}}
300-
300+
301301
The fixed style names are "clocks", "control", and "data". They always have the same fill colors to maintain consistency across symbols. Any other sections are assigned a pastel color from a pseudo-random sequence.
302302

303303

@@ -307,16 +307,16 @@ The fixed style names are "clocks", "control", and "data". They always have the
307307
port (
308308
--# {{clocks|Clocking}}
309309
Clock : in std_ulogic;
310-
310+
311311
--# {{control|Control signals}}
312312
Enable: in std_ulogic;
313-
313+
314314
--# {{data|Data port}}
315315
Data1 : in std_ulogic;
316-
316+
317317
--# {{Additional port1}}
318318
Data2 : out std_ulogic;
319-
319+
320320
--# {{}}
321321
Data3 : inout std_ulogic
322322
);
@@ -330,16 +330,16 @@ The fixed style names are "clocks", "control", and "data". They always have the
330330
port (
331331
--# {{clocks|Clocking}}
332332
Clock : in std_ulogic;
333-
333+
334334
--# {{control|Control signals}}
335335
Enable: in std_ulogic;
336-
336+
337337
--# {{data|Data port}}
338338
Data1 : in std_ulogic;
339-
339+
340340
--# {{Additional port1}}
341341
Data2 : out std_ulogic;
342-
342+
343343
--# {{}}
344344
Data3 : inout std_ulogic
345345
);
@@ -373,7 +373,7 @@ A Symbolator extension is available for the Sphinx document generation system. I
373373
.. code-block:: rst
374374
375375
.. symbolator::
376-
376+
377377
component foo is
378378
...
379379
end component;
@@ -411,10 +411,10 @@ Images are named by default with a SHA1 hash of the code and settings used to ge
411411
:caption: Caption text
412412
:symbolator_cmd: /usr/local/bin/symbolator
413413
:name: vlog-example
414-
414+
415415
module vlog
416416
(foo, bar);
417-
417+
418418
input wire foo;
419419
output reg bar;
420420
endmodule;
@@ -428,7 +428,7 @@ Images are named by default with a SHA1 hash of the code and settings used to ge
428428

429429
module vlog
430430
(foo, bar);
431-
431+
432432
input wire foo;
433433
output reg bar;
434434
endmodule;
@@ -451,14 +451,14 @@ symbolator_cmd
451451
symbolator_cmd_args
452452

453453
List of arguments to pass on each invocation of Symbolator
454-
454+
455455
symbolator_output_format
456456

457457
Change the default output format. Only PNG and SVG are supported by the Sphinx extension.
458458

459459

460460
.. code-block:: python
461-
461+
462462
symbolator_cmd = '/usr/local/bin/symbolator'
463463
symbolator_cmd_args = ['-t', '--scale=0.5']
464464
symbolator_output_format = 'png' # 'svg' is other format
@@ -468,4 +468,3 @@ Indices and tables
468468

469469
* :ref:`genindex`
470470
* :ref:`search`
471-

0 commit comments

Comments
 (0)